veriwell 开源的verilog仿真器开源项目

我要开发同款
匿名用户2011年08月17日
115阅读
所属分类、企业应用、电子电路设计软件
授权协议GPL

作品详情

veriwell是一个开源的verilog仿真器,它实现了verilog标准的IEEE1364-1995.

声明:本文仅代表作者观点,不代表本站立场。如果侵犯到您的合法权益,请联系我们删除侵权资源!如果遇到资源链接失效,请您通过评论或工单的方式通知管理员。未经允许,不得转载,本站所有资源文章禁止商业使用运营!
下载安装【程序员客栈】APP
实时对接需求、及时收发消息、丰富的开放项目需求、随时随地查看项目状态

评论